-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 20:14:19 10/14/2014 -- Design Name: -- Module Name: C:/Users/Student/traffic/test.vhd -- Project Name: traffic -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: timer -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY test IS END test; ARCHITECTURE behavior OF test IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT timer PORT( clk : IN std_logic; t1 : OUT std_logic; t5 : OUT std_logic; t10 : OUT std_logic; reset : IN std_logic ); END COMPONENT; --Inputs signal clk : std_logic := '0'; signal reset : std_logic := '0'; --Outputs signal t1 : std_logic; signal t5 : std_logic; signal t10 : std_logic; -- Clock period definitions constant clk_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: timer PORT MAP ( clk => clk, t1 => t1, t5 => t5, t10 => t10, reset => reset ); -- Clock process definitions clk_process :process begin clk <= '0'; wait for clk_period/2; clk <= '1'; wait for clk_period/2; end process; -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. wait for 100 ns; wait for clk_period*10; -- insert stimulus here wait for clk_period*10; wait; end process; END;