/**********************************************************************/ /* ____ ____ */ /* / /\/ / */ /* /___/ \ / */ /* \ \ \/ */ /* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ /* / / All Right Reserved. */ /* /---/ /\ */ /* \ \ / \ */ /* \___\/\___\ */ /***********************************************************************/ /* This file is designed for use with ISim build 0x7708f090 */ #define XSI_HIDE_SYMBOL_SPEC true #include "xsi.h" #include #ifdef __GNUC__ #include #else #include #define alloca _alloca #endif static const char *ng0 = "C:/Users/Student/traffic/timer.vhd"; extern char *IEEE_P_2592010699; extern char *IEEE_P_1242562249; char *ieee_p_1242562249_sub_1547198987_1035706684(char *, char *, char *, char *, char *, char *); unsigned char ieee_p_1242562249_sub_1781543830_1035706684(char *, char *, char *, int ); unsigned char ieee_p_1242562249_sub_3140849233_1035706684(char *, char *, char *, int ); unsigned char ieee_p_2592010699_sub_1744673427_503743352(char *, char *, unsigned int , unsigned int ); static void work_a_0141943142_3212880686_p_0(char *t0) { char t13[16]; char t14[16]; char *t1; unsigned char t2; char *t3; char *t4; unsigned char t5; unsigned char t6; char *t7; char *t8; char *t9; char *t10; char *t11; char *t12; int t15; unsigned int t16; unsigned int t17; char *t18; char *t19; char *t20; char *t21; char *t22; LAB0: xsi_set_current_line(19, ng0); t1 = (t0 + 992U); t2 = ieee_p_2592010699_sub_1744673427_503743352(IEEE_P_2592010699, t1, 0U, 0U); if (t2 != 0) goto LAB2; LAB4: LAB3: t1 = (t0 + 3560); *((int *)t1) = 1; LAB1: return; LAB2: xsi_set_current_line(20, ng0); t3 = (t0 + 1672U); t4 = *((char **)t3); t5 = *((unsigned char *)t4); t6 = (t5 == (unsigned char)3); if (t6 != 0) goto LAB5; LAB7: t1 = (t0 + 1832U); t3 = *((char **)t1); t1 = (t0 + 5548U); t2 = ieee_p_1242562249_sub_3140849233_1035706684(IEEE_P_1242562249, t3, t1, 10); if (t2 != 0) goto LAB8; LAB9: LAB6: goto LAB3; LAB5: xsi_set_current_line(21, ng0); t3 = (t0 + 5578); t8 = (t0 + 3656); t9 = (t8 + 56U); t10 = *((char **)t9); t11 = (t10 + 56U); t12 = *((char **)t11); memcpy(t12, t3, 4U); xsi_driver_first_trans_fast(t8); goto LAB6; LAB8: xsi_set_current_line(23, ng0); t4 = (t0 + 1832U); t7 = *((char **)t4); t4 = (t0 + 5548U); t8 = (t0 + 5582); t10 = (t14 + 0U); t11 = (t10 + 0U); *((int *)t11) = 0; t11 = (t10 + 4U); *((int *)t11) = 3; t11 = (t10 + 8U); *((int *)t11) = 1; t15 = (3 - 0); t16 = (t15 * 1); t16 = (t16 + 1); t11 = (t10 + 12U); *((unsigned int *)t11) = t16; t11 = ieee_p_1242562249_sub_1547198987_1035706684(IEEE_P_1242562249, t13, t7, t4, t8, t14); t12 = (t13 + 12U); t16 = *((unsigned int *)t12); t17 = (1U * t16); t5 = (4U != t17); if (t5 == 1) goto LAB10; LAB11: t18 = (t0 + 3656); t19 = (t18 + 56U); t20 = *((char **)t19); t21 = (t20 + 56U); t22 = *((char **)t21); memcpy(t22, t11, 4U); xsi_driver_first_trans_fast(t18); goto LAB6; LAB10: xsi_size_not_matching(4U, t17, 0); goto LAB11; } static void work_a_0141943142_3212880686_p_1(char *t0) { char *t1; char *t2; unsigned char t3; char *t4; char *t5; char *t6; char *t7; char *t8; LAB0: xsi_set_current_line(30, ng0); t1 = (t0 + 1832U); t2 = *((char **)t1); t1 = (t0 + 5548U); t3 = ieee_p_1242562249_sub_1781543830_1035706684(IEEE_P_1242562249, t2, t1, 1); if (t3 != 0) goto LAB2; LAB4: xsi_set_current_line(33, ng0); t1 = (t0 + 3720); t2 = (t1 + 56U); t4 = *((char **)t2); t5 = (t4 + 56U); t6 = *((char **)t5); *((unsigned char *)t6) = (unsigned char)2; xsi_driver_first_trans_fast_port(t1); LAB3: xsi_set_current_line(35, ng0); t1 = (t0 + 1832U); t2 = *((char **)t1); t1 = (t0 + 5548U); t3 = ieee_p_1242562249_sub_1781543830_1035706684(IEEE_P_1242562249, t2, t1, 5); if (t3 != 0) goto LAB5; LAB7: xsi_set_current_line(38, ng0); t1 = (t0 + 3784); t2 = (t1 + 56U); t4 = *((char **)t2); t5 = (t4 + 56U); t6 = *((char **)t5); *((unsigned char *)t6) = (unsigned char)2; xsi_driver_first_trans_fast_port(t1); LAB6: xsi_set_current_line(40, ng0); t1 = (t0 + 1832U); t2 = *((char **)t1); t1 = (t0 + 5548U); t3 = ieee_p_1242562249_sub_1781543830_1035706684(IEEE_P_1242562249, t2, t1, 10); if (t3 != 0) goto LAB8; LAB10: xsi_set_current_line(43, ng0); t1 = (t0 + 3848); t2 = (t1 + 56U); t4 = *((char **)t2); t5 = (t4 + 56U); t6 = *((char **)t5); *((unsigned char *)t6) = (unsigned char)2; xsi_driver_first_trans_fast_port(t1); LAB9: t1 = (t0 + 3576); *((int *)t1) = 1; LAB1: return; LAB2: xsi_set_current_line(31, ng0); t4 = (t0 + 3720); t5 = (t4 + 56U); t6 = *((char **)t5); t7 = (t6 + 56U); t8 = *((char **)t7); *((unsigned char *)t8) = (unsigned char)3; xsi_driver_first_trans_fast_port(t4); goto LAB3; LAB5: xsi_set_current_line(36, ng0); t4 = (t0 + 3784); t5 = (t4 + 56U); t6 = *((char **)t5); t7 = (t6 + 56U); t8 = *((char **)t7); *((unsigned char *)t8) = (unsigned char)3; xsi_driver_first_trans_fast_port(t4); goto LAB6; LAB8: xsi_set_current_line(41, ng0); t4 = (t0 + 3848); t5 = (t4 + 56U); t6 = *((char **)t5); t7 = (t6 + 56U); t8 = *((char **)t7); *((unsigned char *)t8) = (unsigned char)3; xsi_driver_first_trans_fast_port(t4); goto LAB9; } extern void work_a_0141943142_3212880686_init() { static char *pe[] = {(void *)work_a_0141943142_3212880686_p_0,(void *)work_a_0141943142_3212880686_p_1}; xsi_register_didat("work_a_0141943142_3212880686", "isim/test_isim_beh.exe.sim/work/a_0141943142_3212880686.didat"); xsi_register_executes(pe); }