-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 20:03:06 09/30/2014 -- Design Name: -- Module Name: C:/Users/Student/Desktop/Workspace/HighSpeedAdder/adder_test.vhd -- Project Name: HighSpeedAdder -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: adder -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY adder_test IS END adder_test; ARCHITECTURE behavior OF adder_test IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT adder PORT( a : IN std_logic_vector(3 downto 0); b : IN std_logic_vector(3 downto 0); c : IN std_logic_vector(3 downto 0); sum : OUT std_logic_vector(3 downto 0) ); END COMPONENT; --Inputs signal a : std_logic_vector(3 downto 0) := (others => '0'); signal b : std_logic_vector(3 downto 0) := (others => '0'); signal c : std_logic_vector(3 downto 0) := (others => '0'); --Outputs signal sum : std_logic_vector(3 downto 0); BEGIN -- Instantiate the Unit Under Test (UUT) uut: adder PORT MAP ( a => a, b => b, c => c, sum => sum ); -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. wait for 10 ns; a <= "0001"; b <= "0001"; wait for 10 ns; wait; end process; END;