/**********************************************************************/ /* ____ ____ */ /* / /\/ / */ /* /___/ \ / */ /* \ \ \/ */ /* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ /* / / All Right Reserved. */ /* /---/ /\ */ /* \ \ / \ */ /* \___\/\___\ */ /***********************************************************************/ /* This file is designed for use with ISim build 0x7708f090 */ #define XSI_HIDE_SYMBOL_SPEC true #include "xsi.h" #include #ifdef __GNUC__ #include #else #include #define alloca _alloca #endif static const char *ng0 = "C:/Users/Student/Desktop/Workspace/adc_v2/test_counter.vhd"; static void work_a_1673317214_2372691052_p_0(char *t0) { char *t1; char *t2; char *t3; char *t4; char *t5; char *t6; int64 t7; int64 t8; LAB0: t1 = (t0 + 3272U); t2 = *((char **)t1); if (t2 == 0) goto LAB2; LAB3: goto *t2; LAB2: xsi_set_current_line(46, ng0); t2 = (t0 + 3904); t3 = (t2 + 56U); t4 = *((char **)t3); t5 = (t4 + 56U); t6 = *((char **)t5); *((unsigned char *)t6) = (unsigned char)2; xsi_driver_first_trans_fast(t2); xsi_set_current_line(47, ng0); t2 = (t0 + 2288U); t3 = *((char **)t2); t7 = *((int64 *)t3); t8 = (t7 / 2); t2 = (t0 + 3080); xsi_process_wait(t2, t8); LAB6: *((char **)t1) = &&LAB7; LAB1: return; LAB4: xsi_set_current_line(48, ng0); t2 = (t0 + 3904); t3 = (t2 + 56U); t4 = *((char **)t3); t5 = (t4 + 56U); t6 = *((char **)t5); *((unsigned char *)t6) = (unsigned char)3; xsi_driver_first_trans_fast(t2); xsi_set_current_line(49, ng0); t2 = (t0 + 2288U); t3 = *((char **)t2); t7 = *((int64 *)t3); t8 = (t7 / 2); t2 = (t0 + 3080); xsi_process_wait(t2, t8); LAB10: *((char **)t1) = &&LAB11; goto LAB1; LAB5: goto LAB4; LAB7: goto LAB5; LAB8: goto LAB2; LAB9: goto LAB8; LAB11: goto LAB9; } static void work_a_1673317214_2372691052_p_1(char *t0) { char *t1; char *t2; int64 t3; char *t4; char *t5; char *t6; char *t7; int64 t8; LAB0: t1 = (t0 + 3520U); t2 = *((char **)t1); if (t2 == 0) goto LAB2; LAB3: goto *t2; LAB2: xsi_set_current_line(56, ng0); t3 = (100 * 1000LL); t2 = (t0 + 3328); xsi_process_wait(t2, t3); LAB6: *((char **)t1) = &&LAB7; LAB1: return; LAB4: xsi_set_current_line(58, ng0); t2 = (t0 + 3968); t4 = (t2 + 56U); t5 = *((char **)t4); t6 = (t5 + 56U); t7 = *((char **)t6); *((unsigned char *)t7) = (unsigned char)3; xsi_driver_first_trans_fast(t2); xsi_set_current_line(59, ng0); t2 = (t0 + 2288U); t4 = *((char **)t2); t3 = *((int64 *)t4); t2 = (t0 + 3328); xsi_process_wait(t2, t3); LAB10: *((char **)t1) = &&LAB11; goto LAB1; LAB5: goto LAB4; LAB7: goto LAB5; LAB8: xsi_set_current_line(60, ng0); t2 = (t0 + 3968); t4 = (t2 + 56U); t5 = *((char **)t4); t6 = (t5 + 56U); t7 = *((char **)t6); *((unsigned char *)t7) = (unsigned char)2; xsi_driver_first_trans_fast(t2); xsi_set_current_line(61, ng0); t2 = (t0 + 2288U); t4 = *((char **)t2); t3 = *((int64 *)t4); t8 = (t3 * 3); t2 = (t0 + 3328); xsi_process_wait(t2, t8); LAB14: *((char **)t1) = &&LAB15; goto LAB1; LAB9: goto LAB8; LAB11: goto LAB9; LAB12: xsi_set_current_line(62, ng0); t2 = (t0 + 4032); t4 = (t2 + 56U); t5 = *((char **)t4); t6 = (t5 + 56U); t7 = *((char **)t6); *((unsigned char *)t7) = (unsigned char)3; xsi_driver_first_trans_fast(t2); xsi_set_current_line(63, ng0); t2 = (t0 + 2288U); t4 = *((char **)t2); t3 = *((int64 *)t4); t8 = (t3 * 9); t2 = (t0 + 3328); xsi_process_wait(t2, t8); LAB18: *((char **)t1) = &&LAB19; goto LAB1; LAB13: goto LAB12; LAB15: goto LAB13; LAB16: xsi_set_current_line(64, ng0); t2 = (t0 + 4032); t4 = (t2 + 56U); t5 = *((char **)t4); t6 = (t5 + 56U); t7 = *((char **)t6); *((unsigned char *)t7) = (unsigned char)2; xsi_driver_first_trans_fast(t2); xsi_set_current_line(67, ng0); LAB22: *((char **)t1) = &&LAB23; goto LAB1; LAB17: goto LAB16; LAB19: goto LAB17; LAB20: goto LAB2; LAB21: goto LAB20; LAB23: goto LAB21; } extern void work_a_1673317214_2372691052_init() { static char *pe[] = {(void *)work_a_1673317214_2372691052_p_0,(void *)work_a_1673317214_2372691052_p_1}; xsi_register_didat("work_a_1673317214_2372691052", "isim/test_counter_isim_beh.exe.sim/work/a_1673317214_2372691052.didat"); xsi_register_executes(pe); }