Counter Project Status (11/11/2014 - 20:07:29)
Project File: adc_v2.xise Parser Errors: No Errors
Module Name: Counter Implementation State: Programming File Generated
Target Device: xc3s500e-4fg320
  • Errors:
No Errors
Product Version:ISE 14.6
  • Warnings:
4 Warnings (1 new)
Design Goal: Balanced
  • Routing Results:
All Signals Completely Routed
Design Strategy: Xilinx Default (unlocked)
  • Timing Constraints:
All Constraints Met
Environment: System Settings
  • Final Timing Score:
0  (Timing Report)
 
Device Utilization Summary [-]
Logic UtilizationUsedAvailableUtilizationNote(s)
Number of Slice Flip Flops 37 9,312 1%  
Number of 4 input LUTs 64 9,312 1%  
Number of occupied Slices 52 4,656 1%  
    Number of Slices containing only related logic 52 52 100%  
    Number of Slices containing unrelated logic 0 52 0%  
Total Number of 4 input LUTs 97 9,312 1%  
    Number used as logic 64      
    Number used as a route-thru 33      
Number of bonded IOBs 13 232 5%  
Number of BUFGMUXs 1 24 4%  
Average Fanout of Non-Clock Nets 2.19      
 
Performance Summary [-]
Final Timing Score: 0 (Setup: 0, Hold: 0) Pinout Data: Pinout Report
Routing Results: All Signals Completely Routed Clock Data: Clock Report
Timing Constraints: All Constraints Met    
 
Detailed Reports [-]
Report NameStatusGenerated ErrorsWarningsInfos
Synthesis ReportCurrentTue Nov 11 20:06:47 201403 Warnings (0 new)1 Info (1 new)
Translation ReportCurrentTue Nov 11 20:06:53 2014000
Map ReportCurrentTue Nov 11 20:06:58 2014002 Infos (0 new)
Place and Route ReportCurrentTue Nov 11 20:07:11 201401 Warning (1 new)2 Infos (0 new)
Power Report     
Post-PAR Static Timing ReportCurrentTue Nov 11 20:07:15 2014006 Infos (0 new)
Bitgen ReportCurrentTue Nov 11 20:07:21 2014000
 
Secondary Reports [-]
Report NameStatusGenerated
ISIM Simulator LogOut of DateTue Nov 11 20:04:00 2014
WebTalk ReportCurrentTue Nov 11 20:07:21 2014
WebTalk Log FileCurrentTue Nov 11 20:07:28 2014

Date Generated: 11/11/2014 - 20:07:29