-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 15:00:16 11/29/2014 -- Design Name: -- Module Name: /home/p3t3r/classes/ece446/final_project/RS-232/clk_sampler_test.vhd -- Project Name: RS-232 -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: clk_sampler -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY clk_sampler_test IS END clk_sampler_test; ARCHITECTURE behavior OF clk_sampler_test IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT clk_sampler PORT( reset : IN std_logic; clk : IN std_logic; full : OUT std_logic ); END COMPONENT; --Inputs signal reset : std_logic := '0'; signal clk : std_logic := '0'; --Outputs signal full : std_logic; -- Clock period definitions constant clk_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: clk_sampler PORT MAP ( reset => reset, clk => clk, full => full ); -- Clock process definitions clk_process :process begin clk <= '0'; wait for clk_period/2; clk <= '1'; wait for clk_period/2; end process; -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. wait for 100 ns; wait for clk_period*10; reset <= '1'; wait for clk_period*5; reset <= '0'; -- insert stimulus here wait; end process; END;