# Constraints for reference design 'LCD_IF'. # # Revision C of the Spartan-3E Starter Kit. # # Kahraman Akdemir -- Fall 2006 # # Period constraint for 50MHz operation # NET "clk" PERIOD = 20 ns HIGH 50 %; # soldered 50MHz Clock. NET "clk" LOC = C9; NET "clk" IOSTANDARD = LVTTL; # LCD display # Very slow so can use lowest drive strength. # NET "lcd_rs" LOC = L18; NET "lcd_rs" IOSTANDARD = LVTTL; NET "lcd_rs" DRIVE = 2; NET "lcd_rs" SLEW = SLOW; NET "lcd_rw" LOC = L17; NET "lcd_rw" IOSTANDARD = LVTTL; NET "lcd_rw" DRIVE = 2; NET "lcd_rw" SLEW = SLOW; NET "lcd_e" LOC = M18; NET "lcd_e" IOSTANDARD = LVTTL; NET "lcd_e" DRIVE = 2; NET "lcd_e" SLEW = SLOW; NET "lcd_d[4]" LOC = R15; NET "lcd_d[4]" IOSTANDARD = LVTTL; NET "lcd_d[4]" DRIVE = 2; NET "lcd_d[4]" SLEW = SLOW; NET "lcd_d[5]" LOC = R16; NET "lcd_d[5]" IOSTANDARD = LVTTL; NET "lcd_d[5]" DRIVE = 2; NET "lcd_d[5]" SLEW = SLOW; NET "lcd_d[6]" LOC = P17; NET "lcd_d[6]" IOSTANDARD = LVTTL; NET "lcd_d[6]" DRIVE = 2; NET "lcd_d[6]" SLEW = SLOW; NET "lcd_d[7]" LOC = M15; NET "lcd_d[7]" IOSTANDARD = LVTTL; NET "lcd_d[7]" DRIVE = 2; NET "lcd_d[7]" SLEW = SLOW; # # Strata Flash (need to disable to use LCD display) # NET "strataflash_oe" LOC = C18; NET "strataflash_oe" IOSTANDARD = LVTTL; NET "strataflash_oe" DRIVE = 2; NET "strataflash_oe" SLEW = SLOW; NET "strataflash_ce" LOC = D16; NET "strataflash_ce" IOSTANDARD = LVTTL; NET "strataflash_ce" DRIVE = 2; NET "strataflash_ce" SLEW = SLOW; NET "strataflash_we" LOC = D17; NET "strataflash_we" IOSTANDARD = LVTTL; NET "strataflash_we" DRIVE = 2; NET "strataflash_we" SLEW = SLOW; # End of File NET "din[7]" LOC = N17; NET "din[6]" LOC = H18; NET "din[5]" LOC = L14; NET "din[4]" LOC = L13; NET "din[3]" LOC = A6; NET "din[2]" LOC = B6; NET "din[0]" LOC = F7; NET "din[1]" LOC = E7; NET "dout[7]" LOC = F9; NET "dout[6]" LOC = E9; NET "dout[5]" LOC = D11; NET "dout[4]" LOC = C11; NET "dout[3]" LOC = F11; NET "dout[2]" LOC = E11; NET "dout[1]" LOC = E12; NET "dout[0]" LOC = F12; NET "speed" LOC = B4; NET "dout[7]" IOSTANDARD = LVTTL; NET "dout[6]" IOSTANDARD = LVTTL; NET "dout[5]" IOSTANDARD = LVTTL; NET "dout[4]" IOSTANDARD = LVTTL; NET "dout[3]" IOSTANDARD = LVTTL; NET "dout[2]" IOSTANDARD = LVTTL; NET "dout[1]" IOSTANDARD = LVTTL; NET "dout[0]" IOSTANDARD = LVTTL; NET "comms_watch" LOC = D7; NET "comms_watch" IOSTANDARD = LVTTL; # PlanAhead Generated physical constraints NET "ready_to_transmit" LOC = A4;