-- -- Definition of a single port ROM for KCPSM3 program defined by lcd_dr.psm -- -- Generated by KCPSM3 Assembler 18Sep2006-15:05:20. -- -- Standard IEEE libraries -- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -- -- The Unisim Library is used to define Xilinx primitives. It is also used during -- simulation. The source can be viewed at %XILINX%\vhdl\src\unisims\unisim_VCOMP.vhd -- library unisim; use unisim.vcomponents.all; -- -- entity lcd_dr is Port ( address : in std_logic_vector(9 downto 0); instruction : out std_logic_vector(17 downto 0); clk : in std_logic); end lcd_dr; -- architecture low_level_definition of lcd_dr is -- -- Attributes to define ROM contents during implementation synthesis. -- The information is repeated in the generic map for functional simulation -- attribute INIT_00 : string; attribute INIT_01 : string; attribute INIT_02 : string; attribute INIT_03 : string; attribute INIT_04 : string; attribute INIT_05 : string; attribute INIT_06 : string; attribute INIT_07 : string; attribute INIT_08 : string; attribute INIT_09 : string; attribute INIT_0A : string; attribute INIT_0B : string; attribute INIT_0C : string; attribute INIT_0D : string; attribute INIT_0E : string; attribute INIT_0F : string; attribute INIT_10 : string; attribute INIT_11 : string; attribute INIT_12 : string; attribute INIT_13 : string; attribute INIT_14 : string; attribute INIT_15 : string; attribute INIT_16 : string; attribute INIT_17 : string; attribute INIT_18 : string; attribute INIT_19 : string; attribute INIT_1A : string; attribute INIT_1B : string; attribute INIT_1C : string; attribute INIT_1D : string; attribute INIT_1E : string; attribute INIT_1F : string; attribute INIT_20 : string; attribute INIT_21 : string; attribute INIT_22 : string; attribute INIT_23 : string; attribute INIT_24 : string; attribute INIT_25 : string; attribute INIT_26 : string; attribute INIT_27 : string; attribute INIT_28 : string; attribute INIT_29 : string; attribute INIT_2A : string; attribute INIT_2B : string; attribute INIT_2C : string; attribute INIT_2D : string; attribute INIT_2E : string; attribute INIT_2F : string; attribute INIT_30 : string; attribute INIT_31 : string; attribute INIT_32 : string; attribute INIT_33 : string; attribute INIT_34 : string; attribute INIT_35 : string; attribute INIT_36 : string; attribute INIT_37 : string; attribute INIT_38 : string; attribute INIT_39 : string; attribute INIT_3A : string; attribute INIT_3B : string; attribute INIT_3C : string; attribute INIT_3D : string; attribute INIT_3E : string; attribute INIT_3F : string; attribute INITP_00 : string; attribute INITP_01 : string; attribute INITP_02 : string; attribute INITP_03 : string; attribute INITP_04 : string; attribute INITP_05 : string; attribute INITP_06 : string; attribute INITP_07 : string; -- -- Attributes to define ROM contents during implementation synthesis. -- attribute INIT_00 of ram_1024_x_18 : label is "0057456001DE05110057458001DE051001D9018001800180008B01DE051001C8"; attribute INIT_01 of ram_1024_x_18 : label is "005365180057005365190E200FFF40080057454001DE05130057455001DE0512"; attribute INIT_02 of ram_1024_x_18 : label is "6515005700536516019E0520402C0E4D019E052E502A4FFF0057005365170057"; attribute INIT_03 of ram_1024_x_18 : label is "6513019E0520403F0E4B019E052E503D4FFF503D4E4D00570053651400570053"; attribute INIT_04 of ram_1024_x_18 : label is "019E057A019E0548019E15E0019E0520019E8530651100570053651200570053"; attribute INIT_05 of ram_1024_x_18 : label is "0E0AA000019E0520A000019E8530505C4FFFA0000F00B0004500A000019E0520"; attribute INIT_06 of ram_1024_x_18 : label is "09A005000400030002001D501C401B301A20A0005461CE018F01F1F000670F11"; attribute INIT_07 of ram_1024_x_18 : label is "0206BD90BC80BB709A6040800207587BFD90FC80FB70DA60001D060007000800"; attribute INIT_08 of ram_1024_x_18 : label is "0563019E0569019E0550A00011A05474C001060807080808090E050004000300"; attribute INIT_09 of ram_1024_x_18 : label is "019E0546A000019E0565019E057A019E0561019E056C019E0542019E056F019E"; attribute INIT_0A of ram_1024_x_18 : label is "019E0579019E0563019E056E019E0565019E0575019E0571019E0565019E0572"; attribute INIT_0B of ram_1024_x_18 : label is "A000019E0572019E0565019E0574019E056E019E0575019E056F019E0543A000"; attribute INIT_0C of ram_1024_x_18 : label is "0541019E054D019E0553A000019E0530019E0530019E052E019E0531019E0576"; attribute INIT_0D of ram_1024_x_18 : label is "053541220F06019E0574019E0575019E0570019E056E019E0569019E0520019E"; attribute INIT_0E of ram_1024_x_18 : label is "0579019E0572019E0543019E0520019E057A019E0548019E054D019E0530019E"; attribute INIT_0F of ram_1024_x_18 : label is "054D019E0543019E054441220F02019E056C019E0561019E0574019E0573019E"; attribute INIT_10 of ram_1024_x_18 : label is "019E0561019E019E056C019E0569019E0563019E0573019E054F019E0520019E"; attribute INIT_11 of ram_1024_x_18 : label is "0567019E056E019E0569019E0552A000019E0520019E0572019E056F019E0574"; attribute INIT_12 of ram_1024_x_18 : label is "019E056E019E0569019E055201DE05104122CF01019E0520B0004F004101019E"; attribute INIT_13 of ram_1024_x_18 : label is "019E0530019E0535019E0520019E054D019E0543019E0544019E0520019E0567"; attribute INIT_14 of ram_1024_x_18 : label is "019E0553019E052001DE0520019E0541019E054D019E0553019E0520019E054D"; attribute INIT_15 of ram_1024_x_18 : label is "019E0553019E0520019E0532019E0557019E0553019E0520019E0533019E0557"; attribute INIT_16 of ram_1024_x_18 : label is "556EC001000BA000019E0530019E0557019E0553019E0520019E0531019E0557"; attribute INIT_17 of ram_1024_x_18 : label is "A000557CC30101760314A0005577C20101710219A0005572C101016D0128A000"; attribute INIT_18 of ram_1024_x_18 : label is "1450A0000185C404A4F8A000C404E401016DC404E401A0005581C401017B0432"; attribute INIT_19 of ram_1024_x_18 : label is "A4F01450A000C40404F00171018B04060406040604071450016D018BC408A4F0"; attribute INIT_1A of ram_1024_x_18 : label is "040EA000C40404F001710185C40404060406040704071450016D0185C404C40C"; attribute INIT_1B of ram_1024_x_18 : label is "000EA5F0C404E4014009016DC404E401016DC404E4014509016DC404E401C404"; attribute INIT_1C of ram_1024_x_18 : label is "0171018B0176018B017B018B0430017BA0000171C4040404D500000E000E000E"; attribute INIT_1D of ram_1024_x_18 : label is "51E42510A00001760176018F0501018F050C018F0506018F05280171018B0420"; attribute INIT_1E of ram_1024_x_18 : label is "00000000000000000000000000000000A000018FC5C0A50FA000018FC580A50F"; attribute INIT_1F of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_20 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_21 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_22 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_23 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_24 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_25 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_26 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_27 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_28 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_29 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_2A of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_2B of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_2C of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_2D of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_2E of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_2F of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_30 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_31 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_32 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_33 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_34 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_35 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_36 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_37 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_38 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_39 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_3A of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_3B of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_3C of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_3D of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_3E of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_3F of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INITP_00 of ram_1024_x_18 : label is "957B550000002D6C2CB7626CCCCCD3CF333377CF3CCCCDF3CF03CCCCCCCCFFF3"; attribute INITP_01 of ram_1024_x_18 : label is "333333333333333333333333332CCCCCB3333332CCCCCCCCCB33333333236AAA"; attribute INITP_02 of ram_1024_x_18 : label is "B72DCB72D2CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCDC9F3332CCCCCF333333"; attribute INITP_03 of ram_1024_x_18 : label is "000000000000B0B0DBF3333CFFF3B82A8838E0E228FAA8F80A3EA8F02E28E2DC"; attribute INITP_04 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INITP_05 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INITP_06 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INITP_07 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; -- begin -- --Instantiate the Xilinx primitive for a block RAM ram_1024_x_18: RAMB16_S18 --synthesis translate_off --INIT values repeated to define contents for functional simulation generic map ( INIT_00 => X"0057456001DE05110057458001DE051001D9018001800180008B01DE051001C8", INIT_01 => X"005365180057005365190E200FFF40080057454001DE05130057455001DE0512", INIT_02 => X"6515005700536516019E0520402C0E4D019E052E502A4FFF0057005365170057", INIT_03 => X"6513019E0520403F0E4B019E052E503D4FFF503D4E4D00570053651400570053", INIT_04 => X"019E057A019E0548019E15E0019E0520019E8530651100570053651200570053", INIT_05 => X"0E0AA000019E0520A000019E8530505C4FFFA0000F00B0004500A000019E0520", INIT_06 => X"09A005000400030002001D501C401B301A20A0005461CE018F01F1F000670F11", INIT_07 => X"0206BD90BC80BB709A6040800207587BFD90FC80FB70DA60001D060007000800", INIT_08 => X"0563019E0569019E0550A00011A05474C001060807080808090E050004000300", INIT_09 => X"019E0546A000019E0565019E057A019E0561019E056C019E0542019E056F019E", INIT_0A => X"019E0579019E0563019E056E019E0565019E0575019E0571019E0565019E0572", INIT_0B => X"A000019E0572019E0565019E0574019E056E019E0575019E056F019E0543A000", INIT_0C => X"0541019E054D019E0553A000019E0530019E0530019E052E019E0531019E0576", INIT_0D => X"053541220F06019E0574019E0575019E0570019E056E019E0569019E0520019E", INIT_0E => X"0579019E0572019E0543019E0520019E057A019E0548019E054D019E0530019E", INIT_0F => X"054D019E0543019E054441220F02019E056C019E0561019E0574019E0573019E", INIT_10 => X"019E0561019E019E056C019E0569019E0563019E0573019E054F019E0520019E", INIT_11 => X"0567019E056E019E0569019E0552A000019E0520019E0572019E056F019E0574", INIT_12 => X"019E056E019E0569019E055201DE05104122CF01019E0520B0004F004101019E", INIT_13 => X"019E0530019E0535019E0520019E054D019E0543019E0544019E0520019E0567", INIT_14 => X"019E0553019E052001DE0520019E0541019E054D019E0553019E0520019E054D", INIT_15 => X"019E0553019E0520019E0532019E0557019E0553019E0520019E0533019E0557", INIT_16 => X"556EC001000BA000019E0530019E0557019E0553019E0520019E0531019E0557", INIT_17 => X"A000557CC30101760314A0005577C20101710219A0005572C101016D0128A000", INIT_18 => X"1450A0000185C404A4F8A000C404E401016DC404E401A0005581C401017B0432", INIT_19 => X"A4F01450A000C40404F00171018B04060406040604071450016D018BC408A4F0", INIT_1A => X"040EA000C40404F001710185C40404060406040704071450016D0185C404C40C", INIT_1B => X"000EA5F0C404E4014009016DC404E401016DC404E4014509016DC404E401C404", INIT_1C => X"0171018B0176018B017B018B0430017BA0000171C4040404D500000E000E000E", INIT_1D => X"51E42510A00001760176018F0501018F050C018F0506018F05280171018B0420", INIT_1E => X"00000000000000000000000000000000A000018FC5C0A50FA000018FC580A50F", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_00 => X"957B550000002D6C2CB7626CCCCCD3CF333377CF3CCCCDF3CF03CCCCCCCCFFF3", INITP_01 => X"333333333333333333333333332CCCCCB3333332CCCCCCCCCB33333333236AAA", INITP_02 => X"B72DCB72D2CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCDC9F3332CCCCCF333333", INITP_03 => X"000000000000B0B0DBF3333CFFF3B82A8838E0E228FAA8F80A3EA8F02E28E2DC", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000") --synthesis translate_on port map( DI => "0000000000000000", DIP => "00", EN => '1', WE => '0', SSR => '0', CLK => clk, ADDR => address, DO => instruction(15 downto 0), DOP => instruction(17 downto 16)); -- end low_level_definition; -- ------------------------------------------------------------------------------------ -- -- END OF FILE lcd_dr.vhd -- ------------------------------------------------------------------------------------